FPGA CPLD : Installation de l'environnement de développement Vivado

De Wiki_du_Réseau_des_Electroniciens_du_CNRS
Aller à la navigationAller à la recherche

NB : Cette installation a été décrite pour la préparation du cours d'initiation au VHDL et a été orientée en ce sens. Vous pouvez modifier les options selon vos besoins et vos licences.

NB2 : La version 2015.1 et à priori les versions suivantes de Vivado ne supportent que les machine 64 bits (exceptés quelques outils de la suite). Pour une version 32 bits, veuillez télécharger la version 2014.4

NB3 : Vous aurez besoin des droits administrateurs sur la machine pour installer la suite logicielle.

Installation de Vivado

Voici les différentes étapes pour l'installation de la suite logicielle Vivado de Xilinx.

Dans un premier temps, créer vous un compte sur le site de Xilinx. Vous en aurez besoin pour télécharger les logiciels et récupérer un fichier de licence : https://secure.xilinx.com/webreg/createUser.do?.

Pour télécharger le logiciel, rendez-vous ensuite sur la page des téléchargements : http://www.xilinx.com/support/download.html.

Vous avez le choix entre le le téléchargement avec le client web (1.8 Go nécessaire) ou de télécharger la version complète (entre 4.5 et 4.7 Go). Le client web permet de ne télécharger que ce dont vous avez besoin, et donc de ne pas télécharger l'ensemble des logiciels de la suite. Le téléchargement de la version complète utilise un utilitaire de téléchargement en JAVA, pensez donc à autoriser les applet sur votre navigateur. Dans un cas comme dans l'autre, vous pouvez reprendre votre téléchargement en cas de problème.

Lancez l'installation :

Cliquez sur "Next".

Saisissez vos login et mot de passe de votre compte utilisateur Xilinx et choisissez au choix (et/ou selon votre connexion) le téléchargement et l'installation combinée ou le téléchargement seul. Cliquez ensuite sur "Next".

Cochez l'ensemble des cases à cocher et cliquez sur "Next".

Choisissez l'installation de la version WebPACK.

Par défaut l'installeur propose les logiciels strictement nécessaires au développement et la programmation des FPGA.

Choisissez le chemin d'installation du logiciel (évitez les accents et les espaces dans le chemin).

Le récapitulatif s'affiche. Cliquez ensuite sur "Install" pour lancer l'installation.

A la fin de l'installation, on vous propose d'enregistrer une licence.

NB :

En cas de problème d'installation, vous pouvez consulter la page suivante : AR# 60118 : Install - Troubleshooting the Vivado Installation (v2014.1 and later)

Il est possible que les raccourcis ne s'installent pas sur le bureau, pour cela, suivez les consignes à la page suivante : AR# 56551 : Install – How do you add shortcuts to the Start Menu?

Création et enregistrement d'une licence :

L'acquisition et l'enregistrement d'une licence se fait via un utilitaire. Vous pouvez le lancer :

  • à la fin de l'installation;
  • ou y accéder via le menu démarrer "Xilinx Design Tools\Manage Xilinx Licenses";
  • ou en lançant Vivado et dans le menu "Help\Manage License..."

Dans le menu de gauche, sélectionnez "Obtain License". Sélectionnez ensuite "Get Free Licenses - Vivado WebPACK, SDK, free IP and more". Cliquez ensuite sur le bouton "Connect Now". Vous serez rediriger vers le site de Xilinx où vous devrez saisir vos identifiants pour ensuite être amener à la page de génération des licences.

Choisissez une licence Vivado WebPACK et cliquez sur "Activate Node-Locked License"

Dans la fenêtre de dialogue qui s'ouvre, vérifiez les informations et cliquez sur "Next".

Vérifiez les informations et cliquez à nouveau sur "Next"

Si l'utilitaire d'enregistrement de la licence est ouvert, votre licence devrait s'activer automatiquement. Sinon, le fichier de licence vous sera envoyé par email et vous pourrez le charger en sélectionnant "Load License" dans le menu de gauche et en cliquant sur le bouton "Copy Licence...". Sélectionnez ensuite le fichier dans la fenêtre de dialogue qui s'ouvre.

Voilà vous êtes prêt à utiliser le logiciel!